Hướng dẫn sử dụng

IEEE Standard for Verilog® Hardware Description Language : IEEE Computer Society

Loại tài liệu: Tài liệu số - Book

Thông tin trách nhiệm:

Nhà Xuất Bản: IEEE Computer Society

Năm Xuất Bản: 2006

(Tải app tại đây để đọc sách)

Tóm tắt

The definition of the language syntax and semantics for SystemVerilog, which is a unified hardware design, specification, and verification language, is provided. This standard includes support for modeling hardware at the behavioral, register transfer level (RTL), and gate-level abstraction levels, and for writing testbenches using coverage, assertions, object-oriented programming, and constrained random verification. The standard also provides application programming interfaces (APIs) to foreign programming languages. Keywords: assertions, design automation, design verification, hardware description language, HDL, HDVL, IEEE 1800, PLI, programming language interface, SystemVerilog, Verilog, VPI

Ngôn ngữ:en
Thông tin nhan đề:IEEE Standard for Verilog® Hardware Description Language : IEEE Computer Society
Nhà Xuất Bản:IEEE Computer Society
Loại hình:Book
Mô tả vật lý:590 p.
Năm Xuất Bản:2006

(Sử dụng ứng dụng VNU- LIC quét QRCode này để mượn tài liệu)

(Lưu ý: Sử dụng ứng dụng Bookworm để xem đầy đủ tài liệu. Bạn đọc có thể tải Bookworm từ App Store hoặc Google play với từ khóa "VNU LIC”)